Efficient Fpga Implementations For Homomorphic Encryption Operation CKKS Scheme

Ayduman, Can (2023) Efficient Fpga Implementations For Homomorphic Encryption Operation CKKS Scheme. [Thesis]

PDF
10599138.Ayduman.pdf

Download (639kB)

Abstract

Homomorphic encryption is the pinnacle of cryptography, providing secure and private third-party computation of sensitive data. Homomorphic encryption schemes allow the unique ability to compute over the encrypted data. Due to its impressive power, one can gain insights from sensitive data without compromising privacy. Newer generation fully homomorphic encryption (FHE) schemes such as BFV (Fan & Vercauteren, 2012) and CKKS (Cheon, Kim, Kim & Song, 2017) schemes are the most popular and have the potential to be used in practice. The limitation of current homomorphic encryption schemes is the computationally complex operations, which prevent applications that require efficiency in their implementations. This thesis aims to present high-performance hardware designs for accelerating FHE schemes. This thesis presents a design-time configurable hardware generator for hardware acceleration of the CKKS FHE scheme. The design aims to accelerate the multiplication, relinearization and rescale operations of the CKKS. It includes a design-time configurable Number Theoretic Transform (NTT) multiplication hardware for polynomial sizes between 210 and 215. Polynomial multiplication is a bottleneck for the FHE operations. Therefore, it is crucial to design efficient hardware accelerators for high degree polynomial multiplications. The NTT enables very fast polynomial multiplication by reducing its complexity to {u1D4AA}({u1D45B} log2 {u1D45B}) from {u1D4AA}({u1D45B}2). The Forward NTT operations are implemented with Cooley-Tukey, while Inverse NTT operations are implemented with Gentleman-Sande butterfly circuits. iii Memory access pattern (MAP) of the NTT operation is complex and it is crucial to design an efficient MAP for NTT for implementing a high-throughput NTT architecture. We designed and implemented an efficient algorithm for the MAP of NTT and generalized this approach for polynomial sizes, 210 to 215. Our hardware acceleration for the CKKS fully homomorphic encryption scheme offers a 15-fold speedup in the homomorphic multiplication operation and a 4-fold speedup in the key-switch operation compared to the Microsoft SEAL library. This comparison was conducted in an environment where the software ran on an AMD Ryzen 7 3800x CPU.
Item Type: Thesis
Uncontrolled Keywords: omomorphic Encryption, Polynomial Multiplication, Number Theoretic Transform (NTT), FPGA, Hardware Accelerator. -- Homomorfik Şifreleme, Polinom Çarpması, Sayılar Teorisi Dönüşümü (NTT), FPGA, Hızlandırıcı Donanım.
Subjects: T Technology > TK Electrical engineering. Electronics Nuclear engineering > TK7800-8360 Electronics
Divisions: Faculty of Engineering and Natural Sciences > Academic programs > Electronics
Faculty of Engineering and Natural Sciences
Depositing User: Dila Günay
Date Deposited: 02 Aug 2024 14:57
Last Modified: 02 Aug 2024 14:57
URI: https://research.sabanciuniv.edu/id/eprint/49754

Actions (login required)

View Item
View Item