Implementation of pixel level digital TDI for scanning type LWIR FPAs

Ceylan, Ömer and Kayahan, Hüseyin and Yazıcı, Melik and Afridi, Sohaib Saadat and Shafique, Atia and Gürbüz, Yaşar (2014) Implementation of pixel level digital TDI for scanning type LWIR FPAs. In: 40th Conference on Infrared Technology and Applications, Baltimore, MD

Full text not available from this repository. (Request a copy)

Abstract

Implementation of a CMOS digital readout integrated circuit (DROIC) based on pixel level digital time delay integration (TDI) for scanning type LWIR focal plane arrays (FPAs) is presented. TDI is implemented on 8 pixels with over sampling rate of 3. Analog signal integrated on integration capacitor is converted to digital domain in pixel, and digital data is transferred to TDI summation counters, where contributions of 8 pixels are added. Output data is 16 bit, where 8 bits are allocated for most significant bits and 8 bits for least significant bits. Control block of the ROIC, which is responsible of generating timing diagram for switches controlling the pixels and summation counters, is realized with VerilogHDL. Summation counters and parallel-to-serial converter to convert 16 bit parallel output data to single bit output are also realized with Verilog HDL. Synthesized verilog netlists are placed&routed and combined with analog under-pixel part of the design. Quantization noise of analog-to-digital conversion is less than 500e-. Since analog signal is converted to digital domain in-pixel, inaccuracies due to analog signal routing over large chip area is eliminated. ROIC is fabricated with 0.18 mu m CMOS process and chip area is 10mm(2). Post-layout simulation results of the implemented design are presented. ROIC is programmable through serial or parallel interface. Input referred noise of ROIC is less than 750 rms electron, while power consumption is less than 30mW. ROIC is designed to perform in cryogenic temperatures.
Item Type: Papers in Conference Proceedings
Additional Information: Article Number: 907025
Uncontrolled Keywords: Read-out IC (ROIC); digital readout integrated circuit (DROIC); digital time delay integration (DTDI); pixel level analog to digital conversion (pixel ADC); direct injection (DI); focal plane array (FPA)
Divisions: Faculty of Engineering and Natural Sciences > Academic programs > Electronics
Faculty of Engineering and Natural Sciences
Depositing User: Yaşar Gürbüz
Date Deposited: 13 Dec 2014 14:49
Last Modified: 26 Apr 2022 09:17
URI: https://research.sabanciuniv.edu/id/eprint/26084

Actions (login required)

View Item
View Item